30 lines
1.0 KiB
Systemverilog
30 lines
1.0 KiB
Systemverilog
//===========================================================================
|
|
// Organization : Individual Developer
|
|
// Filename : testbench.sv
|
|
// Author : Feng Bohan
|
|
// Create Time : 16:17:37 2024-11-22
|
|
// Last Modified: 16:20:09 2024-11-22
|
|
// Abstract :
|
|
//--------------------------------------------------------------------------
|
|
// Description:
|
|
//
|
|
//--------------------------------------------------------------------------
|
|
// Modification History:
|
|
//--------------------------------------------------------------------------
|
|
// Rev Date Who Description
|
|
// --- ---- --- -----------
|
|
// 0.0.01 2024-11-22 Feng Bohan initial version
|
|
//===========================================================================
|
|
module testbench;
|
|
import "DPI-C" context function int c_hello(input string name, input int age);
|
|
export "DPI-C" function sv_hello;
|
|
function void sv_hello();
|
|
$display("sv_hello");
|
|
endfunction
|
|
|
|
initial begin
|
|
c_hello("testbench", 18);
|
|
end
|
|
endmodule
|
|
|